Diskussion:Volladdierer

aus Wikipedia, der freien Enzyklopädie
Zur Navigation springen Zur Suche springen

Schaltsymbol eines Volladdierers nach DIN 40900 FALSCH[Quelltext bearbeiten]

Die beiden Ausgänge Carry und Sum müssen vertauscht werden! (nicht signierter Beitrag von 5.158.158.148 (Diskussion) 18:50, 13. Dez. 2015 (CET))[Beantworten]

hab ich eben korrigiertUovyglonvduflo (Diskussion) 09:05, 3. Jun. 2016 (CEST)[Beantworten]

Eine Verständnisfrage: Der Volladdierer setzt sich aus zwei Halbaddierern zusammen, aber wieso heisst er so "Volladdierer"? Er kann doch auch nur ein bit mehr als der Halbaddierer addieren!?

Ja, aber er hat ein Carry-In, und nur so kann man einen mehrstufigen Addierer aus mehreren Volladierern zusammenbauen. --84.160.178.99 17:38, 3. Feb 2006 (CET)
Die Notwendigkeit einen VA zu verwenden, ergibt sich bei einem Serienaddierwerk oder Paralleladdierwerk. Ein Von-Neumann-Addierwerk kommt mit HA aus, braucht dann aber ein Hilfsregister um den Übertrag zum Eingang des nächsten HA zu leiten. Um die Verständnissfrage zu beantworten, auch ein VA kann nur EIN bit Addieren aber im gegensatz zu einem HA, einen Übertrag aus einer einem anderen VA oder HA verarbeiten.

Man sollte vielleicht noch zu den Text hinzufügen was das überhaupt für Eingänge sind. Ich als nicht Digitaltechnik-tauglicher Mensch konnte mir zuerst nicht erklären wozu diese Eingänge benötigt werden.

Mein Vorschlag wäre das diese Eingänge anhand eines Addier-Binär-beispieles erklärt werden(nicht in der Wahrheitstabelle).

Wahrheitstafel[Quelltext bearbeiten]

Also wenn mich nich alles taeuscht entspricht die Tafel nicht der Wahrheit...

Wie kann z.b. bei einer eingabe von 1,1,1 -> 1,1 aber auch 0,0 rauskommen...

Die Formel für das s-Bit scheint falsch zu sein, da sie im 2. Teil auf XOR der Eingänge beschränkt ist. Das würde x & y & cin ausschließen. (nicht signierter Beitrag von StrykeOnline (Diskussion | Beiträge) 13:44, 12. Mai 2010 (CEST)) [Beantworten]




Die Tabelle war falsch. Ich hab sie grade korrigiert!

Siehe auch http://volladdierer.know-library.net/


Also die Minimierung für Cout im Volladdierer ist definitiv falsch. Ich habe selber versucht es zu vereinfach es ging nicht (algebrahisch und sogar praktisch ausprobiert!!!). Ebenso habe ich meinen Professoren aus der Uni das gezeigt und sie meinten auch es ist falsch. Es geht hierbei um die ersten beiden Tuppel. Der hinteren beiden sind richtig.

Aus (nichtX ^ y ^ cin) v (x ^ nichtY ^ cin) kann unmöglich (c ^ x ) v (c ^ y) werden, die richtige Antwort wäre c ^ (x xor y) oder c((nichtX ^ y) v (x ^ nichtY)).....

Danke.....

P.S.: Ausserdem kann man das ja schon im Schaltbild ablesen.


13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)13:34, 17. Jun. 2010 (CEST)~~ (ohne Benutzername signierter Beitrag von 109.90.26.104 (Diskussion) )

Einfacher[Quelltext bearbeiten]

Für den Volladdierer ergeben sich Vereinfachungen ohne, dass er langsamer wird.
Ich wusste keine besseren Zeichen also habe ich für UND '*' genommen und für ODER '+'.

Die Verwendung von XOR ist eigentlich mogeln da es diese Funktion meist nur aus mehreren NAND Gatern und Invertern zusammengebaut gibt.
--Moritzgedig (Diskussion) 15:02, 15. Dez. 2019 (CET)[Beantworten]

Ich habe die Formel gezeichnet: Vektorgrafik. Ich habe es nicht hochgeladen weil das so schwer ist und die Zeichnung noch unperfekt ist. Diese Schaltung entspricht dem was man mit TTL machen würde. --Moritzgedig (Diskussion) 11:12, 13. Jan. 2020 (CET)[Beantworten]

XOR statt OR[Quelltext bearbeiten]

Sollte man erwähnen, dass man das OR-Gatter im Volladdierer besser durch ein XOR-Gatter ersetzen soll. Es ist zwar eigentlich nicht möglich, dass beide Eingänge wahr sind, aber dennoch wäre das XOR-Gatter korrekter (so hat unser E-Technik-Professor uns das zumindest erklärt). --87.164.201.12 23:43, 22. Sep. 2012 (CEST)[Beantworten]

Schaltbild Volladdierer mit Halbaddier[Quelltext bearbeiten]

Das Schaltbild welches den Volladdierer mittels Halbaddierer realisiert ist nach der DIN-Norm falsch verschaltet! Für die Realisierung eines Volladdierers müssen die beiden Übertragsausgänge, jeweils N1,2 mit dem ODER Gatter verknüpft werden! (nicht signierter Beitrag von Adrian Wiemer (Diskussion | Beiträge) 15:50, 20. Feb. 2014 (CET))[Beantworten]

Realisierung nur mit NOR[Quelltext bearbeiten]

Es gibt eine besonders elegante Realisierung bei der nur NOR Gatter zwei XOR Gatter realisieren aber ein weiteres NOR Gatter ein dem XOR inneres Signal auswertet: interaktive Simulation --Moritzgedig (Diskussion) 19:28, 13. Apr. 2022 (CEST)[Beantworten]

Fehler vom Parser[Quelltext bearbeiten]

Könnte sich bitte jemand um diese Fehlermeldung kümmern, die im Text rot angezeigt wird:

Fehler beim Parsen (SVG (MathML kann über ein Browser-Plugin aktiviert werden): Ungültige Antwort („Math extension cannot connect to Restbase.“) von Server „http://localhost:6011/de.wikipedia.org/v1/“:): {\displaystyle c_\mathrm{out}}

Danke! --Till.niermann (Diskussion) 20:29, 23. Aug. 2023 (CEST)[Beantworten]

Erledigt. Es handelte sich wohl um einen schlecht gefüllten serverseitigen Cache. (https://de.wikipedia.org/wiki/Hilfe:Cache#Bei_Artikeln) --Daniel5Ko (Diskussion) 01:28, 24. Aug. 2023 (CEST)[Beantworten]